Home > Back-end >  The import package import packages of two problems
The import package import packages of two problems

Time:10-11

1, import packages must be at the beginning of class globally defined? If I use this bag is only a method, can like variable local import,
2, is there any method can let the compiler in the global project to find the package, and not every time in the class of the head, the statement import packages
  • Related