Home > other >  For help have bosses will VHDL sequential detector!
For help have bosses will VHDL sequential detector!

Time:09-21

The final big homework won't do modular diagram and simulation of sequential detector is how to also won't! Test sequence 110010 please bosses to help me

CodePudding user response:

Induction master sequence detection, the first thing to do is to write VHDL baidu and have a look, there should be many, try using a state machine,
  • Related