Home > other >  Questions about the FPGA serial port
Questions about the FPGA serial port

Time:09-21

Made a double-precision floating-point multiplier, need validation on FPGA board, want to use a serial port debug tool, input two 64 - bit data from the computer, and then output the result on the computer, have a big brother can help me with a serial port to send and receive the Verilog HDL program should be how to write.
Mainly to enter two double-precision floating-point number 64, and then receive the result, just contact, don't see how the serial port should be defined

CodePudding user response:

refer to the original poster ~ vegetables beans response:
made a double-precision floating-point multiplier, need validation on FPGA board, want to use a serial port debug tool, input two 64 - bit data from the computer, and then output the result on the computer, have a big brother can help me with a serial port to send and receive the Verilog HDL program should be how to write.
Mainly to enter two double-precision floating-point number 64, then received as a result, the serial port just contact, don't quite understand this should be how to define the

FPGA to write their own code simulation uart, single-chip microcomputer with uart hardware

CodePudding user response:

reference 1/f, macro hadron response:
Quote: refer to the original poster ~ vegetables beans response:
made a double-precision floating-point multiplier, need validation on FPGA board, want to use a serial port debug tool, input two 64 - bit data from the computer, and then output the result on the computer, have a big brother can help me with a serial port to send and receive the Verilog HDL program should be how to write.
Mainly to enter two double-precision floating-point number 64, then received as a result, the serial port just contact, don't quite understand this should be how to define the

FPGA to write their own code simulation uart, single-chip microcomputer with uart hardware

So don't quite understand this program should be how to write can realize serial code I want

CodePudding user response:

You see my blog, there is article uart

CodePudding user response:

Don't know what do you know about the FPGA is very deep, my side has a serial communication program,

CodePudding user response:

reference 4 floor lin506844517 response:
don't know what do you know about the FPGA is very deep, my side has a serial communication program,

Understanding is not deep, beginner, didn't contact with the serial port communication, I also saw have write a serial port turn eight 32-bit programs, but I really need to input the program two doubles, is equivalent to 128, haven't figured out how to write the program, hope bosses can guide me

CodePudding user response:

Baidu on a lot of code, serial communication, can use directly, distribute good pin, frequency set, as for the double need to convert,

CodePudding user response:

Simulation of serial hardware logic:

According to the baud rate setting values to determine each time;
According to the start bit figures to determine the start bit;
Judge the start bit, and then read a digits, such as eight pulse read if if if the result of more than 5 pulse value is 1, then determine the bit is 1, if more than 5 to 0, then the judgment about change of 0
According to figures set each byte needs to receive digits
According to the stop bit is set, determine the beginning of the next byte (actually, can according to the beginning of the start bit to determine the next byte)
  • Related