Home > other >  Write about verilog task to check the clock frequency division and clock synchronization
Write about verilog task to check the clock frequency division and clock synchronization

Time:09-24

Background: a clock control module can implement clock frequency division
Write two task to verify in the TB clock frequency division and clock synchronization, could you tell me how to write the code?
Tgt_clk by src_clk frequency division, points frequency coefficient for div
  • Related