Home > other >  Quartus joint modelsim simulation error for help!
Quartus joint modelsim simulation error for help!

Time:09-30

Schematic diagram to compile successfully, when by modelsim simulation shows:
# * * Error: Waveform. VWF. Vt (29) : near "4" : syntax Error, unexpected INTEGER NUMBER

# * * Error: F:/modelsim/modelsim_ase/win32aloem/vlog failed.

# Executing one rror command at macro./baoshi. Do the line 4


The Error
How to solve!!!!!
  • Related