Home > other >  Modelica couldn't find the DLL dynamic link library file
Modelica couldn't find the DLL dynamic link library file

Time:12-01

I made a dynamic link library in FORTRAN, want to use modelica to call DLL files, but do not know should speak DLL and.lib file in which folder, great god guidance genuflect is begged!

CodePudding user response:

I also met the same problem, can you tell me you solved? We can discuss together? Thank you!
  • Related