Home > other >  According to the courseware for the errors in 16 bit CPU information
According to the courseware for the errors in 16 bit CPU information

Time:11-29

Error (10017) : Can 't create symbol/include/instantiation/component file for the entity "control" because the port "shiftSel" are not currently supported by the Quartus Prime symbol/include/instantiation/component file generator

Doing the 16 bit CPU times wrong, shiftsel interfaces are defined as follows, could you tell me how should change
Type t_shift is (shftpass, SFTL SFTR, rotl, rotr);
  • Related